Soy un novato de FPGA que intenta aprender Verilog. ¿Cómo puedo "asignar" un valor a un registro en un bloque siempre, ya sea como un valor inicial, o como una constante. Intento hacer algo como esto en el siguiente código. Me sale un error porque la constante de 8 bits no cuenta como entrada. Tampoco quiero activar siempre el reloj. Solo quiero asignar un registro a un valor específico. Como quiero que sea sintetizable, no puedo usar un bloque inicial. Muchas gracias.Asignar un valor inicial sintetizable a un registro en Verilog
module top
(
input wire clk,
output wire [7:0] led
);
reg [7:0] data_reg ;
always @*
begin
data_reg = 8'b10101011;
end
assign led = data_reg;
endmodule
Me funciona con Xilinx XST. –
@Tim También funciona en Quartus sintetizando para Altera's Cyclone II. –
@NathanFarrington es posible inicializar el registro con una variable (digamos un parámetro de entrada del módulo). Intenté hacerlo directamente como se indicó anteriormente, pero no funciona .. – ishan3243