2012-05-17 10 views
35

He intentado con muchos idiomas diferentes y he copiado varios ejemplos, pero ninguno de mis bloques de código en orgmode obtiene resaltado de sintaxis. Aquí es lo que estoy tratando:Resaltado de sintaxis dentro del bloque # + begin_src en emacs orgmode no funciona

#+begin_src python 

def main(): 
    print "Hello %s" % ("what's the problem") 

#+end_src 

#+begin_src c++ 

for (int i = 0; i < 30; i++) 
{ 
    cout << "Hello" << endl; 
} 

#+end_src 

cuando se exporta a HTML, se muestra en un bloque de código fuente, pero sin destacando allí tampoco.

Estoy usando la organización más reciente (7.8.10). ¿Me estoy perdiendo de algo?

Respuesta

60

¿Tiene esto?

(setq org-src-fontify-natively t) 
+5

Eso fue todo, gracias. en cuanto a la exportación de HTML, agregué htmlize.el ([obténgala aquí] (http://fly.srk.fer.hr/~hniksic/emacs/htmlize.el.cgi)) para obtener color en el CSS reglas cuando se exporta desde org-mode. – danske

+0

Creo que en la versión más nueva de Emacs también puede usar 'M-x htmlfontify-buffer' en lugar de htmlize.el – vitaly

5

que tenía un problema similar en el que a pesar de añadir htmlize.el, no pude conseguir el resaltado de sintaxis del código cuando se exporta a HTML. Entonces, encontré este post muy útil y limitaba a seguir los pasos:

Syntax highlighting R code in WordPress using Emacs

Sólo para reiterar los pasos:

  1. Copié el htmlize.el en ~/.emacs.d/directorio
  2. En modo org, tipeado Mx byte-compile-file y navegue hasta el directorio donde está ubicado htmlize.el, selecciónelo y presione enter. Esto compilará el archivo htmlize.el.
  3. Reinicie emacs y exporte un archivo con bloque de código. El archivo html exportado ahora tendrá código resaltado de sintaxis.
Cuestiones relacionadas