Quiero tener un módulo simple que agregue dos std_logic_vectors. Sin embargo, al usar el código a continuación con el operador + no se sintetiza.Error al agregar std_logic_vectors
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity add_module is
port(
pr_in1 : in std_logic_vector(31 downto 0);
pr_in2 : in std_logic_vector(31 downto 0);
pr_out : out std_logic_vector(31 downto 0)
);
end add_module;
architecture Behavior of add_module is
begin
pr_out <= pr_in1 + pr_in2;
end architecture Behavior;
El mensaje de error que recibo de XST
Línea 17. + no puede tener este tipo de operandos en este contexto.
¿Echo de menos una biblioteca? Si es posible, no quiero convertir las entradas en números naturales.
Muchas gracias
recomiendo echarle un vistazo enlace de Martin. – George