2010-06-11 9 views
12

¿Alguien conoce un buen entorno para programar VHDL y simularlo (no importa Xilinx o Altera) usando Linux?Programando VHDL en Linux?

+0

votación para cerrar como rec herramienta. Ver también: http://askubuntu.com/questions/130588/is-there-a-vhdl-simulator | http://electronics.stackexchange.com/questions/22596/vhdl-ide-for-a-gnu-linux-environment –

Respuesta

7

le pegan con cualquiera de los vendedores de herramientas, que son irregular en el mejor en Linux (aunque mi experiencia con los servicios públicos Alteras son algo mejores que con ISE).

Sin embargo, si lo que quieres hacer es ejecutar el banco de pruebas, en realidad no sintetizar nada, ghdl será de uso.

+0

Eso debería ser ghdl. http://ghdl.free.fr/ – aneccodeal

2

Si prefiere herramientas de código abierto, consulte http://www.cliftonlabs.com/vhdl/savant.html - vhdl no tiene muchas opciones en el espacio de software libre actualmente. Si opta por herramientas de proveedores, todos los proveedores harían una versión de Linux de sus simuladores. Modelsim es un favorito personal debido a las funciones fáciles de depurar que posee.

Para un entorno de codificación, suelo utilizar VIM con las comprobaciones de sintaxis vhdl activadas. Sigasi aparentemente tiene un IDE comercial con cosas de lujo como la terminación automática de código, sobre la sintaxis mosca comprobar etc.

hacernos saber si tiene más preguntas.

Arpan

7

Como se ha mencionado por Arpan (casi) todos simulador de VHDL es compatible con Linux, pero por lo general son muy caros. Su mejor oportunidad sería utilizar uno de los siguientes:

  • Edición web Altera DS (soporte de Linux acaba de ser agregado) que viene con una versión gratuita de ModelSim.
  • Symphony EDA Sonata 3.1 está disponible como una versión gratuita con soporte limitado.
  • Xilinx ISE que viene con el propio simulador de Xilinxs: ISim.
0

Para codificar vhdl, Emacs con vhdl-mode es obligatorio. Puede usar funciones avanzadas de edición, ver la estructura de diseños, administrar arquitecturas y configuraciones. Puede configurar varios simuladores.

Uso el modelsim de la edición web Quartus de Altera. Tenga cuidado si usa un Linux de 64 bits, esta versión de modelsim solo tiene 32 bits.

1

Ahora hay QUCS (http://qucs.sourceforge.net/) también. Es de código abierto y está basado en FreeHDL.

Se puede usar para diseñar y simular circuitos utilizando una interfaz gráfica. Y también puede simular VHDL. Aunque para esto también debe diseñar los componentes del circuito en el área gráfica.

Este video muestra claramente: https://www.youtube.com/watch?v=-RrQlzLsf18

estoy usando el ppa Linux en Debian Jessie y trabaja muy bien.

1

GHDL es un buen simulador de VHDL, e incluso funciona con algunas bibliotecas de terceros (por ejemplo, Xilinx UNISIMS).

Si lo combina con gtkwave, debe tener un simulador de VHDL y un visor de forma de onda completamente operativos.

GHDL también admite la escritura a .GHW formas de onda, que son fantásticos para las pequeñas simulaciones, porque sí incluye (a menudo) todas las señales en su diseño.

Los he usado con la simulación RTL estándar, y también para la simulación post-síntesis con UNISIM de Xilinx.

Ambos deberían estar disponibles en su repositorio de distribución de Linux. Si no es así, se puede recuperar a partir de:

GHDL: http://ghdl.free.fr/

GTKWave: http://gtkwave.sourceforge.net/

Cuestiones relacionadas